No Go for GloFo at 7nm; and the Fujitsu A64FX post-K CPU

By Dairsie Latimer

September 5, 2018

It’s been a news worthy couple of weeks in the semiconductor and HPC industry. There were several HPC relevant disclosures at Hot Chips 2018 to whet appetites. This included details of the newest entrant to the HPC processor space, Fujitsu’s A64FX. However, more far reaching news came last week as Global Foundries announced it has decided to put its 7 nm node on hold, and entirely stopped development of nodes beyond 7 nm. This has some clear ramifications for the HPC industry.

Three green bottles

With Global Foundries shuttering development there are now only three companies left in the game at 10/7 nm; TSMC, Samsung and Intel. Of these, two are already significantly delayed reaching volume manufacturing with their current next generation process. TSMC were already in pole position at 7 nm, with numerous designs already taped out and sampling, and now it would seem that they are effectively the only game in town for now. As a result, in a year or two we may even notice some signs of supply constraints if TSMC’s 7 nm customers saturate their production capacity.

What’s behind the Global Foundries’ decision?

Put simply it’s one of simple demand economics. Non-recurring engineering costs at lower process nodes (including 10 and 7 nm) are spiralling, with some industry analysts suggesting that they are now approaching $200 million if one factors in all of the costs to reach volume manufacturing.

This will limit the number of customers actually wanting to or indeed able to afford to use the lower geometry nodes for mass market manufacturing and that previous nodes will be longer lived. As Global Foundries really only had AMD and IBM as potential customers at 7 nm it seems that lack of demand at 7 nm for their process (compared to TSMC’s) is the real driver behind this decision.

Despite the initial DNA of Global Foundries, the relationship with AMD has blown hot and cold for years. Once AMD clearly signalled their manufacturing intentions for next generation 7 nm silicon (Rome) was at TSCM, this meant that Global Foundries’ lead customer at 7 nm had effectively disappeared. No sense in making a further $2-4 billion investment in a fab that would never reach anything approaching the production levels necessary to pay for it.

It also leaves IBM in a potentially difficult position as Global Foundries are one of their process technology partners (having sold their foundry business to them some while back). It’s too soon for any public disclosure how this will impact IBM’s Power roadmap (which was linked with 10 nm for Power10 but which Global Foundries skipped to concentrate on 7 nm.) All this could mean a disruptive realignment for their ASIC teams if they are to move to Samsung (who are part of a research alliance comprising IBM, Global Foundries and Samsung) or TSMC for manufacturing 10/7 nm.

The Global Foundries CEO noted that projections for 2022 are almost two thirds of volume manufacturing will still be on 12 nm and above and so older nodes will be profitable for a good while yet. Global Foundries also has some interesting opportunities with their FD-SOI processes in the growing extreme mobile and RF markets, where absolute power efficiency is more important than transistor density driving Moore’s law.

Is this really the end of the road for silicon scaling?

We’ve seen for the last four or five years bulk CMOS silicon scaling (and Moore’s law) is stalling (arguably from the 22/14 nm transition). We’ve seen another major inflection point at the 10/7 nm transition and while there is a tentative roadmap to sub 5 nm (or even 2 nm) it’s based on optimistic projections for EUV availability and innovations in transistor design that have proven elusive thus far.

More worryingly the traditional separation of concerns between architecture design and backend (place and route of synthesised designs) is becoming blurred as even the increasingly restrictive design rules struggle to ensure viable designs for volume manufacture.

There are an increasing number of effects that process engineers, as well as standard cell designers, need to track and solve for, many of which can translate to significant yield variations even from wafer to wafer, let alone process generation to process generation and foundry to foundry.

Process variations of up to 10 percent may now actually take away much of the full advantage of using a new process node, unless the standard cell providers and EDA vendors can provide easily utilised enhancements to their logic libraries and place and route techniques. Now more than ever there needs to be a tight coupling between the customer, the foundry and EDA tool vendor to ensure that performance and yields are kept at economically viable levels.

Developments in transistor design, especially around the use of variable pitch nanosheets (which has very some attractive properties) rather than the increasingly difficult to manufacture finFETs, may well mean that there is still some limited density scaling benefit to moving to lower geometry nodes, but at a greatly reduced rate compared to the heyday of Moore’s law.

It’s more than likely that the curve that describes the actual cost per transistor, which has declined over time, has done more than flatten out recently and we may well see a further reverse in real terms. Couple this with the spiralling investments required to continue lithography scaling (for both foundry and customer) and the rapidly dwindling number of companies able to do so it’s clear that we are actually witnessing the last major inflection point for Moore’s law as it is currently constituted.

If costs per transistor no longer decrease as a result of minimal area scaling on lower geometry nodes then other means of transistor density scaling at a package level will need to be adopted. This will lead to an increasing focus on die stacking, monolithic 3D fabrication and multi-chip-modules, as well as potentially increased integration of on package communications links. Expect to see solutions utilising these techniques proliferate in HPC in the next few years.

Fujitsu’s A64FX

All of which neatly brings us onto one of the most interesting announcements at Hot Chips 30 and probably by far the most anticipated, which was the public unveiling of key details for the Fujitsu A64FX post-K CPU architecture.

The A64FX is made up of a relatively modest 8.7 billion transistors and is baked on a 7 nm process node. No official details of who’s but it don’t take much of a genius to work it out. It’s the first CPU to implement Arm’s Scalable Vector Extensions (SVE), specifically intended for high performance computing (and AI workloads) as well as a host of other interesting system level features.

In many respects it represents an ARM flavoured version of the tried and tested recipe followed by Fujitsu for the K Computer (and the later Sparc64-XIfx), but with a few notable enhancements. Prof. Satoshi Matsuoka also noted (on Twitter) that the TDP for the A64FX was likely to be eye catching (in a good way). Given the high level of integration it will be interesting to see how they do here but I expect they’re hoping to hit around the 160-180W mark. Working back from the quoted peak DP TFlops of 2.7, this implies a relatively modest 1.75GHz clock speed which will help. Then again if you are hoping to be able to scale to 200-300k nodes (and 384 nodes in a 48U rack) a power sipping TDP is pretty much a prerequisite.

Other notable metrics include 1TB/s memory bandwidth delivered by four 8GB stacks of HBM2 memory (rather than the HMC on the prior Sparc64-XIfx), each one associated with a Core Memory Group of cores (12 compute + 1 helper on a crossbar) all connected via coherent caches to the system ring bus and the Tofu3 port. The Tofu3 fabric supplies the main off chip connectivity (the PCIe3 is there for peripherals) and is currently a modest upgrade from the Tofu2 interconnect on the Sparc64-XIfx.

One interesting aspect to consider is the amount of memory per core (assuming 1GB pinned per helper core) which is around 0.6GB. For many simulation environments (where bandwidth rather than working set size is king) this may not prove a huge handicap but there are workloads which will definitely fall out of node on the ‘current’ A64FX memory configs.

The upshot is that Fujitsu clearly believe that this is well balanced system, compared to some other precursor HPC CPUs that bare some striking similarities. Expectation is that the A64FX may make it to market in 2019, prior to deployment in the post-K machine in 2021. It also perhaps leaves a tantalising glimpse of further possible refinements over the next couple of years before the post-K system is due to be delivered. I wouldn’t be surprised to see some more cores enabled per CMG, along with less probable enhancements to the HMB memory interfaces (faster as well as larger) as well as to the Tofu3 PHYs (more bandwidth if not necessarily lower latency).

Roll on 2019 and beyond.

About the Author

Dairsie Latimer, Technical Advisor at Red Oak Consulting, has a somewhat eclectic background, having worked in a variety of roles on supplier side and client side across the commercial and public sectors as an consultant and software engineer. Following an early career in computer graphics, micro-architecture design and full stack software development, he has over twelve years’ specialist experience in the HPC sector, ranging from developing low-level libraries and software for novel computing architectures to porting complex HPC applications to a range of accelerators. Dairise joined Red Oak Consulting (@redoakHPC) in 2010 bringing his wealth of experience to both the business and customers.

Subscribe to HPCwire's Weekly Update!

Be the most informed person in the room! Stay ahead of the tech trends with industry updates delivered to you every week!

Anders Dam Jensen on HPC Sovereignty, Sustainability, and JU Progress

April 23, 2024

The recent 2024 EuroHPC Summit meeting took place in Antwerp, with attendance substantially up since 2023 to 750 participants. HPCwire asked Intersect360 Research senior analyst Steve Conway, who closely tracks HPC, AI, Read more…

AI Saves the Planet this Earth Day

April 22, 2024

Earth Day was originally conceived as a day of reflection. Our planet’s life-sustaining properties are unlike any other celestial body that we’ve observed, and this day of contemplation is meant to provide all of us Read more…

Intel Announces Hala Point – World’s Largest Neuromorphic System for Sustainable AI

April 22, 2024

As we find ourselves on the brink of a technological revolution, the need for efficient and sustainable computing solutions has never been more critical.  A computer system that can mimic the way humans process and s Read more…

Empowering High-Performance Computing for Artificial Intelligence

April 19, 2024

Artificial intelligence (AI) presents some of the most challenging demands in information technology, especially concerning computing power and data movement. As a result of these challenges, high-performance computing Read more…

Kathy Yelick on Post-Exascale Challenges

April 18, 2024

With the exascale era underway, the HPC community is already turning its attention to zettascale computing, the next of the 1,000-fold performance leaps that have occurred about once a decade. With this in mind, the ISC Read more…

2024 Winter Classic: Texas Two Step

April 18, 2024

Texas Tech University. Their middle name is ‘tech’, so it’s no surprise that they’ve been fielding not one, but two teams in the last three Winter Classic cluster competitions. Their teams, dubbed Matador and Red Read more…

Anders Dam Jensen on HPC Sovereignty, Sustainability, and JU Progress

April 23, 2024

The recent 2024 EuroHPC Summit meeting took place in Antwerp, with attendance substantially up since 2023 to 750 participants. HPCwire asked Intersect360 Resear Read more…

AI Saves the Planet this Earth Day

April 22, 2024

Earth Day was originally conceived as a day of reflection. Our planet’s life-sustaining properties are unlike any other celestial body that we’ve observed, Read more…

Kathy Yelick on Post-Exascale Challenges

April 18, 2024

With the exascale era underway, the HPC community is already turning its attention to zettascale computing, the next of the 1,000-fold performance leaps that ha Read more…

Software Specialist Horizon Quantum to Build First-of-a-Kind Hardware Testbed

April 18, 2024

Horizon Quantum Computing, a Singapore-based quantum software start-up, announced today it would build its own testbed of quantum computers, starting with use o Read more…

MLCommons Launches New AI Safety Benchmark Initiative

April 16, 2024

MLCommons, organizer of the popular MLPerf benchmarking exercises (training and inference), is starting a new effort to benchmark AI Safety, one of the most pre Read more…

Exciting Updates From Stanford HAI’s Seventh Annual AI Index Report

April 15, 2024

As the AI revolution marches on, it is vital to continually reassess how this technology is reshaping our world. To that end, researchers at Stanford’s Instit Read more…

Intel’s Vision Advantage: Chips Are Available Off-the-Shelf

April 11, 2024

The chip market is facing a crisis: chip development is now concentrated in the hands of the few. A confluence of events this week reminded us how few chips Read more…

The VC View: Quantonation’s Deep Dive into Funding Quantum Start-ups

April 11, 2024

Yesterday Quantonation — which promotes itself as a one-of-a-kind venture capital (VC) company specializing in quantum science and deep physics  — announce Read more…

Nvidia H100: Are 550,000 GPUs Enough for This Year?

August 17, 2023

The GPU Squeeze continues to place a premium on Nvidia H100 GPUs. In a recent Financial Times article, Nvidia reports that it expects to ship 550,000 of its lat Read more…

Synopsys Eats Ansys: Does HPC Get Indigestion?

February 8, 2024

Recently, it was announced that Synopsys is buying HPC tool developer Ansys. Started in Pittsburgh, Pa., in 1970 as Swanson Analysis Systems, Inc. (SASI) by John Swanson (and eventually renamed), Ansys serves the CAE (Computer Aided Engineering)/multiphysics engineering simulation market. Read more…

Intel’s Server and PC Chip Development Will Blur After 2025

January 15, 2024

Intel's dealing with much more than chip rivals breathing down its neck; it is simultaneously integrating a bevy of new technologies such as chiplets, artificia Read more…

Choosing the Right GPU for LLM Inference and Training

December 11, 2023

Accelerating the training and inference processes of deep learning models is crucial for unleashing their true potential and NVIDIA GPUs have emerged as a game- Read more…

Baidu Exits Quantum, Closely Following Alibaba’s Earlier Move

January 5, 2024

Reuters reported this week that Baidu, China’s giant e-commerce and services provider, is exiting the quantum computing development arena. Reuters reported � Read more…

Comparing NVIDIA A100 and NVIDIA L40S: Which GPU is Ideal for AI and Graphics-Intensive Workloads?

October 30, 2023

With long lead times for the NVIDIA H100 and A100 GPUs, many organizations are looking at the new NVIDIA L40S GPU, which it’s a new GPU optimized for AI and g Read more…

Shutterstock 1179408610

Google Addresses the Mysteries of Its Hypercomputer 

December 28, 2023

When Google launched its Hypercomputer earlier this month (December 2023), the first reaction was, "Say what?" It turns out that the Hypercomputer is Google's t Read more…

AMD MI3000A

How AMD May Get Across the CUDA Moat

October 5, 2023

When discussing GenAI, the term "GPU" almost always enters the conversation and the topic often moves toward performance and access. Interestingly, the word "GPU" is assumed to mean "Nvidia" products. (As an aside, the popular Nvidia hardware used in GenAI are not technically... Read more…

Leading Solution Providers

Contributors

Shutterstock 1606064203

Meta’s Zuckerberg Puts Its AI Future in the Hands of 600,000 GPUs

January 25, 2024

In under two minutes, Meta's CEO, Mark Zuckerberg, laid out the company's AI plans, which included a plan to build an artificial intelligence system with the eq Read more…

China Is All In on a RISC-V Future

January 8, 2024

The state of RISC-V in China was discussed in a recent report released by the Jamestown Foundation, a Washington, D.C.-based think tank. The report, entitled "E Read more…

Shutterstock 1285747942

AMD’s Horsepower-packed MI300X GPU Beats Nvidia’s Upcoming H200

December 7, 2023

AMD and Nvidia are locked in an AI performance battle – much like the gaming GPU performance clash the companies have waged for decades. AMD has claimed it Read more…

Nvidia’s New Blackwell GPU Can Train AI Models with Trillions of Parameters

March 18, 2024

Nvidia's latest and fastest GPU, codenamed Blackwell, is here and will underpin the company's AI plans this year. The chip offers performance improvements from Read more…

Eyes on the Quantum Prize – D-Wave Says its Time is Now

January 30, 2024

Early quantum computing pioneer D-Wave again asserted – that at least for D-Wave – the commercial quantum era has begun. Speaking at its first in-person Ana Read more…

GenAI Having Major Impact on Data Culture, Survey Says

February 21, 2024

While 2023 was the year of GenAI, the adoption rates for GenAI did not match expectations. Most organizations are continuing to invest in GenAI but are yet to Read more…

The GenAI Datacenter Squeeze Is Here

February 1, 2024

The immediate effect of the GenAI GPU Squeeze was to reduce availability, either direct purchase or cloud access, increase cost, and push demand through the roof. A secondary issue has been developing over the last several years. Even though your organization secured several racks... Read more…

Intel’s Xeon General Manager Talks about Server Chips 

January 2, 2024

Intel is talking data-center growth and is done digging graves for its dead enterprise products, including GPUs, storage, and networking products, which fell to Read more…

  • arrow
  • Click Here for More Headlines
  • arrow
HPCwire