TSMC and Samsung Moving to 5nm; Whither Moore’s Law?

By John Russell

June 12, 2019

With reports that Taiwan Semiconductor Manufacturing Co. (TMSC) and Samsung are moving quickly to 5nm manufacturing, it’s a good time to again ponder whither goes the venerable Moore’s law.

Shrinking feature size has of course been the primary hallmark of achieving Moore’s law and a recent report in the IEEE Spectrum, written by Samuel Moore, does a nice job of capturing TMSC and Samsung’s early 5nm efforts and posing questions about what’s next. TMSC’s 5nm process, now in so-called “risk production,” reportedly boosts speed 15 percent and achieves 30 percent improvement in power efficiency. Samsung, writes Moore, promises 10 percent speed boost or 20 percent power efficiency.

“Compared, though, with the sometimes 50 percent improvements of a decade ago, it’s clear that Moore’s Law is not what it used to be. But judging by the investments big foundries are making, customers still think it’s worthwhile,” writes Moore, a senior editor for IEEE Spectrum.

Here’s an excerpt from the IEEE article:

“The 5-nm node is the first to be built from the start using extreme ultraviolet lithography (EUV). With a wavelength of just 13.5 nm, EUV light can produce extremely fine patterns on silicon. Some of these patterns could be made with the previous generation of lithographic tools, but those tools would have to lay down three or four different patterns in succession to produce the same result that EUV manages in a single step.

“Foundries began 7-nm manufacturing without EUV, but later used it to collapse the number of lithographic steps and improve yield. At 5 nm, the foundries are thought to be using 10 to 12 EUV steps, which would translate to 30 or more steps in the older technology, if it were even possible to use the older tech.

“Because the photomasks that contain the patterns are so expensive and each lithography machine itself is a US $100 million–plus investment, “EUV costs more per layer,” says G. Dan Hutcheson, at VLSI Research. But it’s a net revenue gap on a per-wafer basis, and EUV will form the core of all future processes.”

So far, TMSC and Samsung are the only foundries offering 5nm. GlobalFoundaries stopped at 14nm and Intel has been late with its next-gen process. Make no mistake, competing at the high-end is expensive. Moore notes in his article that “TSMC’s capital expenditure was $10 billion in 2018. Samsung expects to nearly match that on a per-year basis until 2030.”

There’s been no shortage of industry conversation around what comes next, in terms of semiconductor manufacturing and in terms of computer architectures to take advantage of the technologies that are available. The Department of Defense’s program, via DARPA – Electronics Resurgence Initiative (ERI) – is a $1.5 billion chip initiative that, according to ERI head William Chappell, seeks to transform the Moore’s law paradigm of the last half-century into “Moore’s Inflection.” Much of ERI’s focus has been on packaging and approaches to design and manufacture of “chiplets” for domain specific tasks.

ERI, like many, is also exploring photonics and its PIPES effort (Photonics in the Package for Extreme Scalability) which addresses the constraints imposed by data movement on current microelectronics, especially power and latency. Using optical rather than electrical components for data transfer is seen as a promising approach for reducing power consumption while increasing data capacity and reducing latency.

Lacking step-function improvements in semiconductor manufacturing it seems likely that many advances will necessarily come from computer architecture and programming. Pioneers John Hennessy and David Patterson, recent winners of ACM’s prestigious Turing Award, offered their optimistic view in a short article, “A New Golden Age for Computer Architecture: Domain-Specific Hardware/Software Co-Design, Enhanced Security, Open Instruction Sets, and Agile Chip Development,” delivered at last June’s International Symposium on Computer Architecture

“We’re on the cusp of another Golden Age that will significantly improve cost, performance, energy, and security. These architecture challenges are even harder given that we’ve lost the exponentially increasing resources provided by Dennard scaling and Moore’s law. We’ve identified areas that are critical to this new age,” wrote the pair citing: co-design; security; free an open architectures; and agile chip development.

With ISC looming (June 16-20) and announcement of the next Top500 list pending, it’s probably a good time to again take stock of Moore’s law and what’s next.

Link to Samuel Moore’s IEEE Spectrum article (Another Step Toward the End of Moore’s Law): https://spectrum.ieee.org/semiconductors/devices/another-step-toward-the-end-of-moores-law

Link to HPCwire article on DoD program (US Chip Initiative Aims For ‘Moore’s (Law) Inflection’): https://www.hpcwire.com/2018/11/08/us-chip-initiative-aims-for-moores-law-inflection/

Link to HPCwire reprint of Hennessy’s and Patterson’s viewpoint (Hennessy & Patterson: A New Golden Age for Computer Architecture): https://www.hpcwire.com/2018/04/17/hennessy-patterson-a-new-golden-age-for-computer-architecture/

Subscribe to HPCwire's Weekly Update!

Be the most informed person in the room! Stay ahead of the tech trends with industry updates delivered to you every week!

Anders Dam Jensen on HPC Sovereignty, Sustainability, and JU Progress

April 23, 2024

The recent 2024 EuroHPC Summit meeting took place in Antwerp, with attendance substantially up since 2023 to 750 participants. HPCwire asked Intersect360 Research senior analyst Steve Conway, who closely tracks HPC, AI, Read more…

AI Saves the Planet this Earth Day

April 22, 2024

Earth Day was originally conceived as a day of reflection. Our planet’s life-sustaining properties are unlike any other celestial body that we’ve observed, and this day of contemplation is meant to provide all of us Read more…

Intel Announces Hala Point – World’s Largest Neuromorphic System for Sustainable AI

April 22, 2024

As we find ourselves on the brink of a technological revolution, the need for efficient and sustainable computing solutions has never been more critical.  A computer system that can mimic the way humans process and s Read more…

Empowering High-Performance Computing for Artificial Intelligence

April 19, 2024

Artificial intelligence (AI) presents some of the most challenging demands in information technology, especially concerning computing power and data movement. As a result of these challenges, high-performance computing Read more…

Kathy Yelick on Post-Exascale Challenges

April 18, 2024

With the exascale era underway, the HPC community is already turning its attention to zettascale computing, the next of the 1,000-fold performance leaps that have occurred about once a decade. With this in mind, the ISC Read more…

2024 Winter Classic: Texas Two Step

April 18, 2024

Texas Tech University. Their middle name is ‘tech’, so it’s no surprise that they’ve been fielding not one, but two teams in the last three Winter Classic cluster competitions. Their teams, dubbed Matador and Red Read more…

Anders Dam Jensen on HPC Sovereignty, Sustainability, and JU Progress

April 23, 2024

The recent 2024 EuroHPC Summit meeting took place in Antwerp, with attendance substantially up since 2023 to 750 participants. HPCwire asked Intersect360 Resear Read more…

AI Saves the Planet this Earth Day

April 22, 2024

Earth Day was originally conceived as a day of reflection. Our planet’s life-sustaining properties are unlike any other celestial body that we’ve observed, Read more…

Kathy Yelick on Post-Exascale Challenges

April 18, 2024

With the exascale era underway, the HPC community is already turning its attention to zettascale computing, the next of the 1,000-fold performance leaps that ha Read more…

Software Specialist Horizon Quantum to Build First-of-a-Kind Hardware Testbed

April 18, 2024

Horizon Quantum Computing, a Singapore-based quantum software start-up, announced today it would build its own testbed of quantum computers, starting with use o Read more…

MLCommons Launches New AI Safety Benchmark Initiative

April 16, 2024

MLCommons, organizer of the popular MLPerf benchmarking exercises (training and inference), is starting a new effort to benchmark AI Safety, one of the most pre Read more…

Exciting Updates From Stanford HAI’s Seventh Annual AI Index Report

April 15, 2024

As the AI revolution marches on, it is vital to continually reassess how this technology is reshaping our world. To that end, researchers at Stanford’s Instit Read more…

Intel’s Vision Advantage: Chips Are Available Off-the-Shelf

April 11, 2024

The chip market is facing a crisis: chip development is now concentrated in the hands of the few. A confluence of events this week reminded us how few chips Read more…

The VC View: Quantonation’s Deep Dive into Funding Quantum Start-ups

April 11, 2024

Yesterday Quantonation — which promotes itself as a one-of-a-kind venture capital (VC) company specializing in quantum science and deep physics  — announce Read more…

Nvidia H100: Are 550,000 GPUs Enough for This Year?

August 17, 2023

The GPU Squeeze continues to place a premium on Nvidia H100 GPUs. In a recent Financial Times article, Nvidia reports that it expects to ship 550,000 of its lat Read more…

Synopsys Eats Ansys: Does HPC Get Indigestion?

February 8, 2024

Recently, it was announced that Synopsys is buying HPC tool developer Ansys. Started in Pittsburgh, Pa., in 1970 as Swanson Analysis Systems, Inc. (SASI) by John Swanson (and eventually renamed), Ansys serves the CAE (Computer Aided Engineering)/multiphysics engineering simulation market. Read more…

Intel’s Server and PC Chip Development Will Blur After 2025

January 15, 2024

Intel's dealing with much more than chip rivals breathing down its neck; it is simultaneously integrating a bevy of new technologies such as chiplets, artificia Read more…

Choosing the Right GPU for LLM Inference and Training

December 11, 2023

Accelerating the training and inference processes of deep learning models is crucial for unleashing their true potential and NVIDIA GPUs have emerged as a game- Read more…

Baidu Exits Quantum, Closely Following Alibaba’s Earlier Move

January 5, 2024

Reuters reported this week that Baidu, China’s giant e-commerce and services provider, is exiting the quantum computing development arena. Reuters reported � Read more…

Comparing NVIDIA A100 and NVIDIA L40S: Which GPU is Ideal for AI and Graphics-Intensive Workloads?

October 30, 2023

With long lead times for the NVIDIA H100 and A100 GPUs, many organizations are looking at the new NVIDIA L40S GPU, which it’s a new GPU optimized for AI and g Read more…

Shutterstock 1179408610

Google Addresses the Mysteries of Its Hypercomputer 

December 28, 2023

When Google launched its Hypercomputer earlier this month (December 2023), the first reaction was, "Say what?" It turns out that the Hypercomputer is Google's t Read more…

AMD MI3000A

How AMD May Get Across the CUDA Moat

October 5, 2023

When discussing GenAI, the term "GPU" almost always enters the conversation and the topic often moves toward performance and access. Interestingly, the word "GPU" is assumed to mean "Nvidia" products. (As an aside, the popular Nvidia hardware used in GenAI are not technically... Read more…

Leading Solution Providers

Contributors

Shutterstock 1606064203

Meta’s Zuckerberg Puts Its AI Future in the Hands of 600,000 GPUs

January 25, 2024

In under two minutes, Meta's CEO, Mark Zuckerberg, laid out the company's AI plans, which included a plan to build an artificial intelligence system with the eq Read more…

China Is All In on a RISC-V Future

January 8, 2024

The state of RISC-V in China was discussed in a recent report released by the Jamestown Foundation, a Washington, D.C.-based think tank. The report, entitled "E Read more…

Shutterstock 1285747942

AMD’s Horsepower-packed MI300X GPU Beats Nvidia’s Upcoming H200

December 7, 2023

AMD and Nvidia are locked in an AI performance battle – much like the gaming GPU performance clash the companies have waged for decades. AMD has claimed it Read more…

Nvidia’s New Blackwell GPU Can Train AI Models with Trillions of Parameters

March 18, 2024

Nvidia's latest and fastest GPU, codenamed Blackwell, is here and will underpin the company's AI plans this year. The chip offers performance improvements from Read more…

Eyes on the Quantum Prize – D-Wave Says its Time is Now

January 30, 2024

Early quantum computing pioneer D-Wave again asserted – that at least for D-Wave – the commercial quantum era has begun. Speaking at its first in-person Ana Read more…

GenAI Having Major Impact on Data Culture, Survey Says

February 21, 2024

While 2023 was the year of GenAI, the adoption rates for GenAI did not match expectations. Most organizations are continuing to invest in GenAI but are yet to Read more…

The GenAI Datacenter Squeeze Is Here

February 1, 2024

The immediate effect of the GenAI GPU Squeeze was to reduce availability, either direct purchase or cloud access, increase cost, and push demand through the roof. A secondary issue has been developing over the last several years. Even though your organization secured several racks... Read more…

Intel’s Xeon General Manager Talks about Server Chips 

January 2, 2024

Intel is talking data-center growth and is done digging graves for its dead enterprise products, including GPUs, storage, and networking products, which fell to Read more…

  • arrow
  • Click Here for More Headlines
  • arrow
HPCwire