Intel Unveils New Node Names; Sapphire Rapids Is Now an ‘Intel 7’ CPU

By Tiffany Trader

July 27, 2021

What’s a preeminent chip company to do when its process node technology lags the competition by (roughly) one generation, but outmoded naming conventions make it seem like it’s two nodes behind? For Intel, the response was to change how it refers to its nodes with the aim of better reflecting its positioning within the leadership semiconductor manufacturing space.

Intel revealed its new node nomenclature, and showcased changes to its process and packaging roadmaps during its “Intel Accelerated” webcast, streamed live yesterday afternoon. In his second major such event since joining Intel in February, CEO Pat Gelsinger filled in a lot of detail for the company’s “IDM 2.0 strategy” – its bold multi-pronged plan to achieve process parity with its competitors by 2024 and leadership in 2025.

“Intel, like the rest of the industry, has recognized that we need to evolve the way we talked about process nodes,” said Gelsinger. “These days, the various naming and numbering schemes used across the industry – including ours – no longer refer to any specific measurement and don’t tell the full story of how to achieve the best balance of power, efficiency and performance.”

The new naming structure starts with the node that comes after 10nm SuperFin, which is used for (mobile chip) Tiger Lake and Xe GPU products, including the forthcoming Xe-HPC product, Ponte Vecchio. That next node, previously known as 10nm Enhanced SuperFin (it’s also been called 10nm+++ and 10nm++), will now be known as “Intel 7.”

“[With Intel 7], we’re expecting an approximately 10 to 15 percent performance-per-watt increase over 10nm SuperFin,” said Ann Kelleher, senior vice president and general manager of technology development. “As we evolve the node with additional transistor level optimizations, this is equivalent to a full node of performance gain. As a result, we believe that Intel 7 is an appropriate name to help customers understand the kind of competitive performance being delivered by the node.”

Intel 7 will begin shipping first on the client side with Alder Lake in 2021, and the server product Sapphire Rapids will be in production in the first quarter of 2022, said Kelleher.

Next on the roadmap, Intel 4 is slotted for production in the second half of 2022 with product shipping starting in 2023. Intel 4 products include Meteor Lake for client and Granite Rapids for the datacenter. This is the node previously known as 7nm. Intel says it taped the Meteor Lake client compute tile last quarter (Q2 2021).

“Beyond the actual wafer, we’re right where we expect to be relative to our performance and defect density expectations,” said Kelleher. “Indeed, our defect density trend is on the right path to meet our product commitments.”

Intel 4 is the first Intel node to make wide use of extreme ultraviolet lithography (EUV).

Next on the new roadmap is Intel 3, set to debut in the second half of 2023. Intel 3 will deliver around an 18 percent transistor performance-per-watt increase over Intel 4, according to the company, thanks to improvements in power and area. It’s a higher level of improvement than a standard full node improvement, noted Kelleher, citing early modeling and test chip data.

Enhancements include the addition of a denser, higher performance library, increased intrinsic drive current to optimize the FinFET transistor, an optimized interconnect metal stack with reduced via resistance and increased use of EUV compared to Intel 4.


“The angstrom era” brings more name changes

The surprise on the roadmap is Intel 20A, where the A stands for “angstrom.” It’s intended to – in Gelsinger’s words – “evoke a new era, where we are crafting devices and materials at the atomic level, the angstrom era of semiconductors.” 1 angstrom is equal to 0.1 nanometers; however, in Intel’s branding parlance, angstrom is not representative of a single discrete metric but rather a “bundled” performance measure relative to the preceding generation.

With a planned introduction in 2024, Intel 20A features two new technologies: a new transistor gate-all-around architecture called RibbonFET and a backside power delivery mechanism, called PowerVia.

RibbonFET is Intel’s first new transistor architecture in more than a decade. Watch an explainer video here.

“RibbonFET is Intel’s implementation of a gate-all-around transistor. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint,” said Intel.

“PowerVia is Intel’s unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer,” the company said.

 

Following 20A, Intel 18A is in development for early 2025 with refinements to RibbonFET that will further drive increased transistor performance, said Intel’s Sanjay Natarajan, senior vice president and co-general manager, logic technology development.

Intel is also planning to usher in new lithography advances in 2025. The next generation of EUV tools is known as high numerical aperture EUV, or high-NA. High-NA will integrate even higher precision lenses and mirrors, improving resolution and allowing for even smaller features to be printed on the silicon, said Intel.

Farther out on the horizon, Intel has plans to advance gate-all-around and backside power. Noting the R&D is “already in the works,” Kelleher said Intel is already working on future nodes beyond 2025 that utilize stacked NMOS and PMOS.

Packaging — What’s next for EMIB and Foveros

The company is also announcing new packaging innovations as part of its IDM 2.0 strategy. With chip development moving to a tiled or chiplet approach, innovative packaging is required to connect all the pieces into a unified device. Following the release of its EMIB (embedded multi-die interconnect bridge) and the Foveros die-stacking technology, Intel will be introducing its third-generation Foveros product, Foveros Omni, and new companion technology Foveros Direct.

Where Foveros enables mixing and matching of chiplet devices that Intel calls “tiles,” in one plane, Foveros Omni takes the concept to the next level, allowing integration of multiple disaggregated top tiles with multiple base tiles across mixed fab nodes. Volume manufacturing is to begin in 2023, according to Intel.

“Foveros Omni uses a combination of through silicon via (TSV) and through package copper columns to balance high speed signal and power delivery with dense die-to-die interconnect,” said Babak Sabi, Intel corporate vice president and general manager of assembly and test technology development. “It improves on the original Foveros with die-to-die interconnect starting at 36 micron and scaling down to 25 micron micro bump pitch.” This quadruples bump density to 1,600 IOs per mm2, while delivering the same interconnect power of Foveros at .15 picojoules per bit, he added.

Foveros Direct is complementary to Foveros Omni and launches in the same 2023 timeframe. The technology uses solderless direct copper-to-copper bonding to enable low-resistance interconnects. Foveros Direct enables sub-10-micron bump pitches, providing an order of magnitude increase in the interconnect density for 3D stacking, according to Intel.

“The resulting capability of 10,000 IOs per mm2 opens up new concepts for functional die partitioning that were previously unachievable,” said Sabi. For example: the ability to have multi-level cache for on-die logic stacking at very low latency and without any power penalty.

At Intel Architecture Day in August of last year, the company said the Xe-HPC “Ponte Vecchio” GPU would be manufactured using its 10nm SuperFin for the base tile, with 10nm Enhanced SuperFin (now called “Intel 7”) for the Rambo Cache tile. Since announcing the 7nm delay, Intel has yet to disclose the process node(s) for the compute tile.

“We are blurring the lines between where the wafer ends and where packaging begins,” said Sabi.

Sapphire Rapids (now an “Intel 7” product) will be the first Intel Xeon datacenter product to ship in volume with EMIB. The next generation of EMIB will move from a 55 micron bump pitch to 45 microns, followed by a third generation that will have a 40 micron bump pitch. Intel’s Ponte Vecchio GPU would be the first product to use both EMIB and the second-generation Foveros, which implements a bump pitch of 36 micron.

New Customers for Intel Foundry Services: AWS and Qualcomm

Intel’s Foundry Service (IFS) business, launched in March, has its first publicly named customers: AWS and Qualcomm. AWS is the first customer to use IFS packaging solutions, while Qualcomm will be a partner on the future 20A process technology. “Both Intel and Qualcomm believe strongly in the advanced development of mobile compute platforms, and ushering in a new era in semiconductors,” said Gelsinger.

The CEO added that Intel has over 100 customers in its IFS pipeline. “Those engagements are progressing very well,” he said. “Some of those are for the packaging technologies like our AWS announcement, some of those are what I call modern nodes, Intel 16, or 16nm process, and there’s a lot of interest in Intel 20A, and Intel 18A.”

Characterizing the prospective customers, Gelsinger said “some are very traditional names, some might be seen as competitors of ours in the past, but now we’re working with them…, some are industrial and auto companies, and there are other semiconductor companies that need a foundry opportunity to leverage, as well as others in the industry.”

The company also announced that Intel InnovatiON will be a fully hybrid event, held in San Francisco and online Oct. 27-28, 2021. More information is available at the Intel ON landing page.

Subscribe to HPCwire's Weekly Update!

Be the most informed person in the room! Stay ahead of the tech trends with industry updates delivered to you every week!

Nvidia’s New Blackwell GPU Can Train AI Models with Trillions of Parameters

March 18, 2024

Nvidia's latest and fastest GPU, code-named Blackwell, is here and will underpin the company's AI plans this year. The chip offers performance improvements from its predecessors, including the red-hot H100 and A100 GPUs. Read more…

Nvidia Showcases Quantum Cloud, Expanding Quantum Portfolio at GTC24

March 18, 2024

Nvidia’s barrage of quantum news at GTC24 this week includes new products, signature collaborations, and a new Nvidia Quantum Cloud for quantum developers. While Nvidia may not spring to mind when thinking of the quant Read more…

2024 Winter Classic: Meet the HPE Mentors

March 18, 2024

The latest installment of the 2024 Winter Classic Studio Update Show features our interview with the HPE mentor team who introduced our student teams to the joys (and potential sorrows) of the HPL (LINPACK) and accompany Read more…

Houston We Have a Solution: Addressing the HPC and Tech Talent Gap

March 15, 2024

Generations of Houstonian teachers, counselors, and parents have either worked in the aerospace industry or know people who do - the prospect of entering the field was normalized for boys in 1969 when the Apollo 11 missi Read more…

Apple Buys DarwinAI Deepening its AI Push According to Report

March 14, 2024

Apple has purchased Canadian AI startup DarwinAI according to a Bloomberg report today. Apparently the deal was done early this year but still hasn’t been publicly announced according to the report. Apple is preparing Read more…

Survey of Rapid Training Methods for Neural Networks

March 14, 2024

Artificial neural networks are computing systems with interconnected layers that process and learn from data. During training, neural networks utilize optimization algorithms to iteratively refine their parameters until Read more…

Nvidia’s New Blackwell GPU Can Train AI Models with Trillions of Parameters

March 18, 2024

Nvidia's latest and fastest GPU, code-named Blackwell, is here and will underpin the company's AI plans this year. The chip offers performance improvements from Read more…

Nvidia Showcases Quantum Cloud, Expanding Quantum Portfolio at GTC24

March 18, 2024

Nvidia’s barrage of quantum news at GTC24 this week includes new products, signature collaborations, and a new Nvidia Quantum Cloud for quantum developers. Wh Read more…

Houston We Have a Solution: Addressing the HPC and Tech Talent Gap

March 15, 2024

Generations of Houstonian teachers, counselors, and parents have either worked in the aerospace industry or know people who do - the prospect of entering the fi Read more…

Survey of Rapid Training Methods for Neural Networks

March 14, 2024

Artificial neural networks are computing systems with interconnected layers that process and learn from data. During training, neural networks utilize optimizat Read more…

PASQAL Issues Roadmap to 10,000 Qubits in 2026 and Fault Tolerance in 2028

March 13, 2024

Paris-based PASQAL, a developer of neutral atom-based quantum computers, yesterday issued a roadmap for delivering systems with 10,000 physical qubits in 2026 a Read more…

India Is an AI Powerhouse Waiting to Happen, but Challenges Await

March 12, 2024

The Indian government is pushing full speed ahead to make the country an attractive technology base, especially in the hot fields of AI and semiconductors, but Read more…

Charles Tahan Exits National Quantum Coordination Office

March 12, 2024

(March 1, 2024) My first official day at the White House Office of Science and Technology Policy (OSTP) was June 15, 2020, during the depths of the COVID-19 loc Read more…

AI Bias In the Spotlight On International Women’s Day

March 11, 2024

What impact does AI bias have on women and girls? What can people do to increase female participation in the AI field? These are some of the questions the tech Read more…

Alibaba Shuts Down its Quantum Computing Effort

November 30, 2023

In case you missed it, China’s e-commerce giant Alibaba has shut down its quantum computing research effort. It’s not entirely clear what drove the change. Read more…

Nvidia H100: Are 550,000 GPUs Enough for This Year?

August 17, 2023

The GPU Squeeze continues to place a premium on Nvidia H100 GPUs. In a recent Financial Times article, Nvidia reports that it expects to ship 550,000 of its lat Read more…

Analyst Panel Says Take the Quantum Computing Plunge Now…

November 27, 2023

Should you start exploring quantum computing? Yes, said a panel of analysts convened at Tabor Communications HPC and AI on Wall Street conference earlier this y Read more…

DoD Takes a Long View of Quantum Computing

December 19, 2023

Given the large sums tied to expensive weapon systems – think $100-million-plus per F-35 fighter – it’s easy to forget the U.S. Department of Defense is a Read more…

Shutterstock 1285747942

AMD’s Horsepower-packed MI300X GPU Beats Nvidia’s Upcoming H200

December 7, 2023

AMD and Nvidia are locked in an AI performance battle – much like the gaming GPU performance clash the companies have waged for decades. AMD has claimed it Read more…

Synopsys Eats Ansys: Does HPC Get Indigestion?

February 8, 2024

Recently, it was announced that Synopsys is buying HPC tool developer Ansys. Started in Pittsburgh, Pa., in 1970 as Swanson Analysis Systems, Inc. (SASI) by John Swanson (and eventually renamed), Ansys serves the CAE (Computer Aided Engineering)/multiphysics engineering simulation market. Read more…

Intel’s Server and PC Chip Development Will Blur After 2025

January 15, 2024

Intel's dealing with much more than chip rivals breathing down its neck; it is simultaneously integrating a bevy of new technologies such as chiplets, artificia Read more…

Baidu Exits Quantum, Closely Following Alibaba’s Earlier Move

January 5, 2024

Reuters reported this week that Baidu, China’s giant e-commerce and services provider, is exiting the quantum computing development arena. Reuters reported � Read more…

Leading Solution Providers

Contributors

Choosing the Right GPU for LLM Inference and Training

December 11, 2023

Accelerating the training and inference processes of deep learning models is crucial for unleashing their true potential and NVIDIA GPUs have emerged as a game- Read more…

Training of 1-Trillion Parameter Scientific AI Begins

November 13, 2023

A US national lab has started training a massive AI brain that could ultimately become the must-have computing resource for scientific researchers. Argonne N Read more…

Shutterstock 1179408610

Google Addresses the Mysteries of Its Hypercomputer 

December 28, 2023

When Google launched its Hypercomputer earlier this month (December 2023), the first reaction was, "Say what?" It turns out that the Hypercomputer is Google's t Read more…

Comparing NVIDIA A100 and NVIDIA L40S: Which GPU is Ideal for AI and Graphics-Intensive Workloads?

October 30, 2023

With long lead times for the NVIDIA H100 and A100 GPUs, many organizations are looking at the new NVIDIA L40S GPU, which it’s a new GPU optimized for AI and g Read more…

AMD MI3000A

How AMD May Get Across the CUDA Moat

October 5, 2023

When discussing GenAI, the term "GPU" almost always enters the conversation and the topic often moves toward performance and access. Interestingly, the word "GPU" is assumed to mean "Nvidia" products. (As an aside, the popular Nvidia hardware used in GenAI are not technically... Read more…

Shutterstock 1606064203

Meta’s Zuckerberg Puts Its AI Future in the Hands of 600,000 GPUs

January 25, 2024

In under two minutes, Meta's CEO, Mark Zuckerberg, laid out the company's AI plans, which included a plan to build an artificial intelligence system with the eq Read more…

Google Introduces ‘Hypercomputer’ to Its AI Infrastructure

December 11, 2023

Google ran out of monikers to describe its new AI system released on December 7. Supercomputer perhaps wasn't an apt description, so it settled on Hypercomputer Read more…

China Is All In on a RISC-V Future

January 8, 2024

The state of RISC-V in China was discussed in a recent report released by the Jamestown Foundation, a Washington, D.C.-based think tank. The report, entitled "E Read more…

  • arrow
  • Click Here for More Headlines
  • arrow
HPCwire