Intel Introduces ‘Horse Ridge’ to Enable Commercially Viable Quantum Computers

December 9, 2019

Dec. 9, 2019 — Intel Labs today unveiled what is believed to be a first-of-its-kind cryogenic control chip — code-named “Horse Ridge” — that will speed up development of full-stack quantum computing systems. Horse Ridge will enable control of multiple quantum bits (qubits) and set a clear path toward scaling larger systems — a major milestone on the path to quantum practicality. Developed together with Intel’s research collaborators at QuTech, a partnership between TU Delft and TNO (Netherlands Organization for Applied Scientific Research), Horse Ridge is fabricated using Intel’s 22nm FinFET technology. In-house fabrication of these control chips at Intel will dramatically accelerate the company’s ability to design, test and optimize a commercially viable quantum computer.

“While there has been a lot of emphasis on the qubits themselves, the ability to control many qubits at the same time had been a challenge for the industry. Intel recognized that quantum controls were an essential piece of the puzzle we needed to solve in order to develop a large-scale commercial quantum system. That’s why we are investing in quantum error correction and controls. With Horse Ridge, Intel has developed a scalable control system that will allow us to significantly speed up testing and realize the potential of quantum computing,” said Jim Clarke, Intel’s director of Quantum Hardware.

Stefano Pellerano, principal engineer at Intel Labs, holds Horse Ridge. The new cryogenic control chip will speed development of full-stack quantum computing systems, marking a milestone in the development of a commercially viable quantum computer. Image courtesy of Walden Kirsch/Intel Corporation.

In the race to realize the power and potential of quantum computers, researchers have focused extensively on qubit fabrication, building test chips that demonstrate the exponential power of a small number of qubits operating in superposition. However, in early quantum hardware developments — including design, testing and characterization of Intel’s silicon spin qubit and superconducting qubit systems — Intel identified a major bottleneck toward realizing commercial-scale quantum computing: interconnects and control electronics.

With Horse Ridge, Intel introduces an elegant solution that will enable the company to control multiple qubits and set a clear path toward scaling future systems to larger qubit counts — a major milestone on the path to quantum practicality.

Quantum computers promise the potential to tackle problems that conventional computers can’t handle by leveraging a phenomena of quantum physics that allows qubits to exist in multiple states simultaneously. As a result, qubits can conduct a large number of calculations at the same time — dramatically speeding up complex problem-solving.

The quantum research community is still at mile one of a marathon toward demonstrating quantum practicality, a benchmark against which the quantum research community can determine whether a quantum system can deliver game-changing performance to solve real-world problems. Intel´s investment in quantum computing covers the full hardware and software stack in pursuit of the development and commercialization of a practical, commercially viable quantum system.

To date, researchers have been focused on building small-scale quantum systems to demonstrate the potential of quantum devices. In these efforts, researchers have relied on existing electronic tools and high-performance computing rack-scale instruments to connect the quantum system inside the cryogenic refrigerator to the traditional computational devices regulating qubit performance and programming the system.

These devices are often custom-designed to control individual qubits, requiring hundreds of connective wires into and out of the refrigerator in order to control the quantum processor. This extensive control cabling for each qubit will hinder the ability to scale the quantum system to the hundreds or thousands of qubits required to demonstrate quantum practicality, not to mention the millions of qubits required for a commercially viable quantum solution.

With Horse Ridge, Intel radically simplifies the control electronics required to operate a quantum system. Replacing these bulky instruments with a highly-integrated system-on-chip (SoC) will simplify system design and allow for sophisticated signal processing techniques to accelerate set-up time, improve qubit performance and enable the system to efficiently scale to larger qubit counts.

Horse Ridge is a highly integrated, mixed-signal SoC that brings the qubit controls into the quantum refrigerator — as close as possible to the qubits themselves. It effectively reduces the complexity of quantum control engineering from hundreds of cables running into and out of a refrigerator to a single, unified package operating near the quantum device.

Designed to act as a radio frequency (RF) processor to control the qubits operating in the refrigerator, Horse Ridge is programmed with instructions that correspond to basic qubit operations. It translates those instructions into electromagnetic microwave pulses that can manipulate the state of the qubits.

Named for one of the coldest regions in Oregon, the Horse Ridge control chip was designed to operate at cryogenic temperatures — approximately 4 Kelvin. To put this in context, 4 Kelvin is only warmer than absolute zero — a temperature so cold that atoms nearly stop moving.

This feat is particularly exciting as Intel progresses its research into silicon spin qubits, which have the potential to operate at slightly higher temperatures than current quantum systems require.

Today, a quantum computer operates at in the millikelvin range — just a fraction of a degree above absolute zero. But silicon spin qubits have properties that could allow them to operate at 1 Kelvin or higher temperatures, which would dramatically reduce the challenges of refrigerating the quantum system.

As research progresses, Intel aims to have cryogenic controls and silicon spin qubits operate at the same temperature level. This will enable the company to leverage its expertise in advanced packaging and interconnect technologies to create a solution with the qubits and controls in one streamlined package.

About Intel

Intel, a leader in the semiconductor industry, is shaping the data-centric future with computing and communications technology that is the foundation of the world’s innovations. The company’s engineering expertise is helping address the world’s greatest challenges as well as helping secure, power and connect billions of devices and the infrastructure of the smart, connected world – from the cloud to the network to the edge and everything in between. Find more information about Intel at newsroom.intel.com and intel.com.


Source: Intel 

Subscribe to HPCwire's Weekly Update!

Be the most informed person in the room! Stay ahead of the tech trends with industry updates delivered to you every week!

Quantum Internet: Tsinghua Researchers’ New Memory Framework could be Game-Changer

April 25, 2024

Researchers from the Center for Quantum Information (CQI), Tsinghua University, Beijing, have reported successful development and testing of a new programmable quantum memory framework. “This work provides a promising Read more…

Intel’s Silicon Brain System a Blueprint for Future AI Computing Architectures

April 24, 2024

Intel is releasing a whole arsenal of AI chips and systems hoping something will stick in the market. Its latest entry is a neuromorphic system called Hala Point. The system includes Intel's research chip called Loihi 2, Read more…

Anders Dam Jensen on HPC Sovereignty, Sustainability, and JU Progress

April 23, 2024

The recent 2024 EuroHPC Summit meeting took place in Antwerp, with attendance substantially up since 2023 to 750 participants. HPCwire asked Intersect360 Research senior analyst Steve Conway, who closely tracks HPC, AI, Read more…

AI Saves the Planet this Earth Day

April 22, 2024

Earth Day was originally conceived as a day of reflection. Our planet’s life-sustaining properties are unlike any other celestial body that we’ve observed, and this day of contemplation is meant to provide all of us Read more…

Intel Announces Hala Point – World’s Largest Neuromorphic System for Sustainable AI

April 22, 2024

As we find ourselves on the brink of a technological revolution, the need for efficient and sustainable computing solutions has never been more critical.  A computer system that can mimic the way humans process and s Read more…

Empowering High-Performance Computing for Artificial Intelligence

April 19, 2024

Artificial intelligence (AI) presents some of the most challenging demands in information technology, especially concerning computing power and data movement. As a result of these challenges, high-performance computing Read more…

Quantum Internet: Tsinghua Researchers’ New Memory Framework could be Game-Changer

April 25, 2024

Researchers from the Center for Quantum Information (CQI), Tsinghua University, Beijing, have reported successful development and testing of a new programmable Read more…

Intel’s Silicon Brain System a Blueprint for Future AI Computing Architectures

April 24, 2024

Intel is releasing a whole arsenal of AI chips and systems hoping something will stick in the market. Its latest entry is a neuromorphic system called Hala Poin Read more…

Anders Dam Jensen on HPC Sovereignty, Sustainability, and JU Progress

April 23, 2024

The recent 2024 EuroHPC Summit meeting took place in Antwerp, with attendance substantially up since 2023 to 750 participants. HPCwire asked Intersect360 Resear Read more…

AI Saves the Planet this Earth Day

April 22, 2024

Earth Day was originally conceived as a day of reflection. Our planet’s life-sustaining properties are unlike any other celestial body that we’ve observed, Read more…

Kathy Yelick on Post-Exascale Challenges

April 18, 2024

With the exascale era underway, the HPC community is already turning its attention to zettascale computing, the next of the 1,000-fold performance leaps that ha Read more…

Software Specialist Horizon Quantum to Build First-of-a-Kind Hardware Testbed

April 18, 2024

Horizon Quantum Computing, a Singapore-based quantum software start-up, announced today it would build its own testbed of quantum computers, starting with use o Read more…

MLCommons Launches New AI Safety Benchmark Initiative

April 16, 2024

MLCommons, organizer of the popular MLPerf benchmarking exercises (training and inference), is starting a new effort to benchmark AI Safety, one of the most pre Read more…

Exciting Updates From Stanford HAI’s Seventh Annual AI Index Report

April 15, 2024

As the AI revolution marches on, it is vital to continually reassess how this technology is reshaping our world. To that end, researchers at Stanford’s Instit Read more…

Nvidia H100: Are 550,000 GPUs Enough for This Year?

August 17, 2023

The GPU Squeeze continues to place a premium on Nvidia H100 GPUs. In a recent Financial Times article, Nvidia reports that it expects to ship 550,000 of its lat Read more…

Synopsys Eats Ansys: Does HPC Get Indigestion?

February 8, 2024

Recently, it was announced that Synopsys is buying HPC tool developer Ansys. Started in Pittsburgh, Pa., in 1970 as Swanson Analysis Systems, Inc. (SASI) by John Swanson (and eventually renamed), Ansys serves the CAE (Computer Aided Engineering)/multiphysics engineering simulation market. Read more…

Intel’s Server and PC Chip Development Will Blur After 2025

January 15, 2024

Intel's dealing with much more than chip rivals breathing down its neck; it is simultaneously integrating a bevy of new technologies such as chiplets, artificia Read more…

Choosing the Right GPU for LLM Inference and Training

December 11, 2023

Accelerating the training and inference processes of deep learning models is crucial for unleashing their true potential and NVIDIA GPUs have emerged as a game- Read more…

Comparing NVIDIA A100 and NVIDIA L40S: Which GPU is Ideal for AI and Graphics-Intensive Workloads?

October 30, 2023

With long lead times for the NVIDIA H100 and A100 GPUs, many organizations are looking at the new NVIDIA L40S GPU, which it’s a new GPU optimized for AI and g Read more…

Baidu Exits Quantum, Closely Following Alibaba’s Earlier Move

January 5, 2024

Reuters reported this week that Baidu, China’s giant e-commerce and services provider, is exiting the quantum computing development arena. Reuters reported � Read more…

Shutterstock 1179408610

Google Addresses the Mysteries of Its Hypercomputer 

December 28, 2023

When Google launched its Hypercomputer earlier this month (December 2023), the first reaction was, "Say what?" It turns out that the Hypercomputer is Google's t Read more…

AMD MI3000A

How AMD May Get Across the CUDA Moat

October 5, 2023

When discussing GenAI, the term "GPU" almost always enters the conversation and the topic often moves toward performance and access. Interestingly, the word "GPU" is assumed to mean "Nvidia" products. (As an aside, the popular Nvidia hardware used in GenAI are not technically... Read more…

Leading Solution Providers

Contributors

Shutterstock 1606064203

Meta’s Zuckerberg Puts Its AI Future in the Hands of 600,000 GPUs

January 25, 2024

In under two minutes, Meta's CEO, Mark Zuckerberg, laid out the company's AI plans, which included a plan to build an artificial intelligence system with the eq Read more…

China Is All In on a RISC-V Future

January 8, 2024

The state of RISC-V in China was discussed in a recent report released by the Jamestown Foundation, a Washington, D.C.-based think tank. The report, entitled "E Read more…

Shutterstock 1285747942

AMD’s Horsepower-packed MI300X GPU Beats Nvidia’s Upcoming H200

December 7, 2023

AMD and Nvidia are locked in an AI performance battle – much like the gaming GPU performance clash the companies have waged for decades. AMD has claimed it Read more…

Nvidia’s New Blackwell GPU Can Train AI Models with Trillions of Parameters

March 18, 2024

Nvidia's latest and fastest GPU, codenamed Blackwell, is here and will underpin the company's AI plans this year. The chip offers performance improvements from Read more…

Eyes on the Quantum Prize – D-Wave Says its Time is Now

January 30, 2024

Early quantum computing pioneer D-Wave again asserted – that at least for D-Wave – the commercial quantum era has begun. Speaking at its first in-person Ana Read more…

GenAI Having Major Impact on Data Culture, Survey Says

February 21, 2024

While 2023 was the year of GenAI, the adoption rates for GenAI did not match expectations. Most organizations are continuing to invest in GenAI but are yet to Read more…

The GenAI Datacenter Squeeze Is Here

February 1, 2024

The immediate effect of the GenAI GPU Squeeze was to reduce availability, either direct purchase or cloud access, increase cost, and push demand through the roof. A secondary issue has been developing over the last several years. Even though your organization secured several racks... Read more…

Intel’s Xeon General Manager Talks about Server Chips 

January 2, 2024

Intel is talking data-center growth and is done digging graves for its dead enterprise products, including GPUs, storage, and networking products, which fell to Read more…

  • arrow
  • Click Here for More Headlines
  • arrow
HPCwire