Intel Adds New Dimension to Transistor Making

By Michael Feldman

May 5, 2011

As the designated enforcer of Moore’s Law, Intel has consistently found a way to keep its two-year process shrink cadence on schedule. This time it’s with three-dimensional semiconductors. On Wednesday, Intel announced  it has once again “re-invented” the transistor with its new 3D Tri-Gate technology.  “For the first time in history, the transistor has officially entered the third dimension,” declared Intel Senior Fellow Mark Bohr.

The company will begin churning out the Tri-Gate silicon in its upcoming “Ivy Bridge” processors, the 22nm shrink of the current generation 32nm Sandy Bridge processors. Intel maintains the 3D transistor technology will only add 2 to 3 percent to the cost of manufacturing the wafers, so system costs should take only a minor hit.

The Tri-Gate technology has been in the works since 2002 in anticipation of the time when the traditional 2D planar technology would run out of steam. Intel actually demonstrated Tri-Gate circuits in SRAM back in 2009, but this is the first time the 3D technology will appear in microprocessors.

The problem is that as semiconductor geometries shrink, it gets increasingly difficult to prevent the electrons from leaking out of the gates, especially at higher voltages. The solution was to build up them up into three-dimensional fin structures so they can be wrapped around the channel, making it more difficult for the electrons to escape. Essentially they’ve blocked the electrons on three sides instead of the one in the flat transistor.

The first Ivy Bridge processors for are slated for production in the second half 2011, probably following Intel’s usual pattern of starting with the desktop chips and following with the Xeon server parts. The mobile Atom chips will be the last to see the 3D technology, with availability not expected until the second half of 2012.

The other foundry makers — the IBM fab consortium TSMC, GlobalFoundries, et al. — all plan to transition to 3D transistors, (where the technology is more generally known as FinFET) at some point, but none have immediate plans to do so. TSMC says it will implement its 3D technology on the 14nm node. According to Bohr, the commercialization of its Tri-Gate technology puts Intel three years ahead of the other foundries.

That remains to be seen, but Intel does appear to be at least temporarily widening its lead in process technology. But why should anyone care? As AMD, NVIDIA and other chip vendors like to remind us, people buy computing products not process technologies.

But the fundamentals do matter. Computing heft and power efficiency begins at the silicon and Intel has maintained a built-in advantage against its competition by getting to the smaller geometries first. Less leaky gates, means you can boost clock speed for more performance or, if power consumption is the goal, slow down the clock but still maintain the performance of the previous generation. According to the Intel, the current Tri-Gate will enable a 50 percent power reduction at constant performance or a 37 percent performance increase at low voltages when compared to the 32nm technology. Those are not just marginal improvements.

For performance-minded customers, more efficient transistors means higher clocks for faster execution, and Intel has taken advantage of this to maintain its speed advantage. In the x86 server realm, AMD 45nm Magny Cours Opterons have had to go against higher clocked Intel’s 32nm Westmere Xeon processors. To blunt the process technology disadvantage, AMD has resorted to higher core counts and greater emphasis on memory bandwidth. That’s a good strategy, especially for high performance computing, but even there Intel has maintained a dominant market share. The next matchup in 2012 will pit the 22nm Ivy Bridge Xeons against the 32nm Interlagos Opterons, so that’s shaping up to be a rerun of previous Xeon-Opteron battles.

Meanwhile, NVIDIA’s Fermi processors are on TSMC’s 40nm process node. Although GPUs compete only indirectly with the x86 CPUs, in the HPC space, Intel’s upcoming MIC accelerator certainly will. And since the first MIC product, Knights Corner, is going to be using Intel’s new 3D 22nm technology, the performance matchup should be especially interesting. Of course, by the time Knights Corner hits the streets (presumably the first half of 2012), NVIDIA will have moved on to TSMC’s 28nm node and the next-generation Kepler architecture, so don’t expect any GPGPU-killing performances from MIC on its first go-around.

In 2013, the Intel’s 22nm technology will be implemented for Haswell, the next microarchitecture that will supplant Sandy Bridge.  (With Haswell, we might get our first taste of actual 3D processors, aka chip stacking.) Then in 2014 , Intel intends to extend the Tri-Gate technology to the 14nm node. Beyond that, it looks like Intel may have to re-invent the transistor once again.

Here’s a short video of Mark Bohr talking about the new technology in a cute Disney-like presentation:

Illinois Considers $20 Billion Quantum Manhattan Project Says Report

May 7, 2024

There are multiple reports that Illinois governor Jay Robert Pritzker is considering a $20 billion Quantum Manhattan-like project for the Chicago area. According to the reports, photonics quantum computer developer PsiQu Read more…

The NASA Black Hole Plunge

May 7, 2024

We have all thought about it. No one has done it, but now, thanks to HPC, we see what it looks like. Hold on to your feet because NASA has released videos of what it is like to orbit and enter a black hole. And yes, it c Read more…

2024 Winter Classic: Meet the Mentors Round-up

May 6, 2024

To make navigating easier, we have compiled a collection of all the mentor interviews and placed them in this single page round-up. Meet the HPE Mentors The latest installment of the 2024 Winter Classic Studio Update S Read more…

2024 Winter Classic: The Complete Team Round-up

May 6, 2024

To make navigating easier, we have compiled a collection of all the teams and placed them in this single page round-up. Meet Team Lobo This is the other team from University of New Mexico, since there are two, right? T Read more…

How Nvidia Could Use $700M Run.ai Acquisition for AI Consumption

May 6, 2024

Nvidia is touching $2 trillion in market cap purely on the brute force of its GPU sales, and there's room for the company to grow with software. The company hopes to fill a big software gap with an agreement to acquire R Read more…

2024 Winter Classic: Oak Ridge Score Reveal

May 5, 2024

It’s time to reveal the results from the Oak Ridge competition module, well, it’s actually well past time. My day job and travel schedule have put me way behind, but I am dedicated to getting all this great content o Read more…

The NASA Black Hole Plunge

May 7, 2024

We have all thought about it. No one has done it, but now, thanks to HPC, we see what it looks like. Hold on to your feet because NASA has released videos of wh Read more…

How Nvidia Could Use $700M Run.ai Acquisition for AI Consumption

May 6, 2024

Nvidia is touching $2 trillion in market cap purely on the brute force of its GPU sales, and there's room for the company to grow with software. The company hop Read more…

Hyperion To Provide a Peek at Storage, File System Usage with Global Site Survey

May 3, 2024

Curious how the market for distributed file systems, interconnects, and high-end storage is playing out in 2024? Then you might be interested in the market anal Read more…

Qubit Watch: Intel Process, IBM’s Heron, APS March Meeting, PsiQuantum Platform, QED-C on Logistics, FS Comparison

May 1, 2024

Intel has long argued that leveraging its semiconductor manufacturing prowess and use of quantum dot qubits will help Intel emerge as a leader in the race to de Read more…

Stanford HAI AI Index Report: Science and Medicine

April 29, 2024

While AI tools are incredibly useful in a variety of industries, they truly shine when applied to solving problems in scientific and medical discovery. Research Read more…

IBM Delivers Qiskit 1.0 and Best Practices for Transitioning to It

April 29, 2024

After spending much of its December Quantum Summit discussing forthcoming quantum software development kit Qiskit 1.0 — the first full version — IBM quietly Read more…

Shutterstock 1748437547

Edge-to-Cloud: Exploring an HPC Expedition in Self-Driving Learning

April 25, 2024

The journey begins as Kate Keahey's wandering path unfolds, leading to improbable events. Keahey, Senior Scientist at Argonne National Laboratory and the Uni Read more…

Quantum Internet: Tsinghua Researchers’ New Memory Framework could be Game-Changer

April 25, 2024

Researchers from the Center for Quantum Information (CQI), Tsinghua University, Beijing, have reported successful development and testing of a new programmable Read more…

Nvidia H100: Are 550,000 GPUs Enough for This Year?

August 17, 2023

The GPU Squeeze continues to place a premium on Nvidia H100 GPUs. In a recent Financial Times article, Nvidia reports that it expects to ship 550,000 of its lat Read more…

Synopsys Eats Ansys: Does HPC Get Indigestion?

February 8, 2024

Recently, it was announced that Synopsys is buying HPC tool developer Ansys. Started in Pittsburgh, Pa., in 1970 as Swanson Analysis Systems, Inc. (SASI) by John Swanson (and eventually renamed), Ansys serves the CAE (Computer Aided Engineering)/multiphysics engineering simulation market. Read more…

Intel’s Server and PC Chip Development Will Blur After 2025

January 15, 2024

Intel's dealing with much more than chip rivals breathing down its neck; it is simultaneously integrating a bevy of new technologies such as chiplets, artificia Read more…

Comparing NVIDIA A100 and NVIDIA L40S: Which GPU is Ideal for AI and Graphics-Intensive Workloads?

October 30, 2023

With long lead times for the NVIDIA H100 and A100 GPUs, many organizations are looking at the new NVIDIA L40S GPU, which it’s a new GPU optimized for AI and g Read more…

Choosing the Right GPU for LLM Inference and Training

December 11, 2023

Accelerating the training and inference processes of deep learning models is crucial for unleashing their true potential and NVIDIA GPUs have emerged as a game- Read more…

Shutterstock 1606064203

Meta’s Zuckerberg Puts Its AI Future in the Hands of 600,000 GPUs

January 25, 2024

In under two minutes, Meta's CEO, Mark Zuckerberg, laid out the company's AI plans, which included a plan to build an artificial intelligence system with the eq Read more…

AMD MI3000A

How AMD May Get Across the CUDA Moat

October 5, 2023

When discussing GenAI, the term "GPU" almost always enters the conversation and the topic often moves toward performance and access. Interestingly, the word "GPU" is assumed to mean "Nvidia" products. (As an aside, the popular Nvidia hardware used in GenAI are not technically... Read more…

China Is All In on a RISC-V Future

January 8, 2024

The state of RISC-V in China was discussed in a recent report released by the Jamestown Foundation, a Washington, D.C.-based think tank. The report, entitled "E Read more…

Leading Solution Providers

Contributors

Nvidia’s New Blackwell GPU Can Train AI Models with Trillions of Parameters

March 18, 2024

Nvidia's latest and fastest GPU, codenamed Blackwell, is here and will underpin the company's AI plans this year. The chip offers performance improvements from Read more…

Shutterstock 1285747942

AMD’s Horsepower-packed MI300X GPU Beats Nvidia’s Upcoming H200

December 7, 2023

AMD and Nvidia are locked in an AI performance battle – much like the gaming GPU performance clash the companies have waged for decades. AMD has claimed it Read more…

Eyes on the Quantum Prize – D-Wave Says its Time is Now

January 30, 2024

Early quantum computing pioneer D-Wave again asserted – that at least for D-Wave – the commercial quantum era has begun. Speaking at its first in-person Ana Read more…

The GenAI Datacenter Squeeze Is Here

February 1, 2024

The immediate effect of the GenAI GPU Squeeze was to reduce availability, either direct purchase or cloud access, increase cost, and push demand through the roof. A secondary issue has been developing over the last several years. Even though your organization secured several racks... Read more…

Intel Plans Falcon Shores 2 GPU Supercomputing Chip for 2026  

August 8, 2023

Intel is planning to onboard a new version of the Falcon Shores chip in 2026, which is code-named Falcon Shores 2. The new product was announced by CEO Pat Gel Read more…

GenAI Having Major Impact on Data Culture, Survey Says

February 21, 2024

While 2023 was the year of GenAI, the adoption rates for GenAI did not match expectations. Most organizations are continuing to invest in GenAI but are yet to Read more…

The NASA Black Hole Plunge

May 7, 2024

We have all thought about it. No one has done it, but now, thanks to HPC, we see what it looks like. Hold on to your feet because NASA has released videos of wh Read more…

Q&A with Nvidia’s Chief of DGX Systems on the DGX-GB200 Rack-scale System

March 27, 2024

Pictures of Nvidia's new flagship mega-server, the DGX GB200, on the GTC show floor got favorable reactions on social media for the sheer amount of computing po Read more…

  • arrow
  • Click Here for More Headlines
  • arrow
HPCwire